Altera DE0事始めその4

VGA出力を使用しようとすると、コンパイル時に以下のエラーが発生する


Error (176310): Can't place multiple pins assigned to pin location Pin_K22 (IOPAD_X41_Y19_N14)
Info (176311): Pin VGA_B[0] is assigned to pin location Pin_K22 (IOPAD_X41_Y19_N14)
Info (176311): Pin ~ALTERA_nCEO~ is assigned to pin location Pin_K22 (IOPAD_X41_Y19_N14)
これは、VGAのB0レベル出力に使用するPIN_K22がデフォルトでnCEOにアサインされているためだ。
"Assignment" -> "Device" -> "Device & Pin Options..."を開き、"Dual-Purppose Pins"の"nCEO"の設定を"Use as regular IO"とすれば、問題は解決する。


NIOS IIを除いて、この本がようやく終わった。

次はこれだ。
FPGA ボードで学ぶ組込みシステム開発入門 ?Altera編?

FPGA ボードで学ぶ組込みシステム開発入門 ?Altera編?