Altera DE0事始めその5

最近さぼっていたVerilogに戻った。
以前も書いたが、単純な繰り返しを高速に処理させるならFPGA & Verilogの方が圧倒的に有利だ。
こっちのデータをあっちに、これの場合はこれ、あの場合はあれ、といった処理はArduinoの方が有利だし、ライブラリーも充実しているので遥かに簡単だ。
温度と照度を測定して、CLCDに表示した上でtwitterにポストする、なんてのも簡単だ。
FPGAは全て(とは言わないまでも)一から作る必要があるし、しかもそれはかなりプリミティブな(I/Oをどうする、モジュール間のI/Fをどうする)ものからスタートする必要がある。
条件処理は苦手であるので、文字列の処理、例えばxmlのパーシングなど、考えたくもない。
しかし、まさに信号処理なことを高速でやらせるのにはうってつけだ。


さてさて、年をとると学習曲線ならぬ忘却曲線が急峻で、Verilogの書き方どころかツールの使い方まで忘れている。
またしてもLチカやクロックカウンターからスタートだ。
しかも使っていた環境(Win8.1)は最近reimageして、コンパイルとフラッシュまでしか確認していなかったら、ModelSim Alteraが動かない。
インストールはされているのだが、Quartus IIから起動しようとすると下記のエラーだ。
"Can't launch the ModelSim-Altera software - you did not specify the path to the location of the ModelSim-Altera software"
果たして、Tools -> Options -> General -> EDA Tool Optionsを見てみると空だ。
"ModelSim"に"(インストールパス)\13.1\modelsim_ase\win32aloem"と入れる。
・・・動かない。
ググって見ると、ファイルパスの末尾に"\"をつけると動くとのこと。
・・・動かない。
よく読み見てみる・・・"ModelSim-Altera"は別のカラムじゃん!
ということで、Tools -> Options -> General -> EDA Tool Options -> "ModelSim-Altera"に"(インストールパス)\13.1\modelsim_ase\win32aloem\"とすればOKだ。