Verilog

Altera DE0のピンアサインメント

さてAltera DE0 & Quartus IIはVerilogの学習キットとしては最適なのだが、いろいろ手続きが面倒なところもある。 たとえばピンアサインメント。 これはスイッチやLED、GPIOヘッダなどが接続されているCyclone IIIのピンと、Verilog上でのinput、outputをマ…

Altera DE0事始めその5

最近さぼっていたVerilogに戻った。 以前も書いたが、単純な繰り返しを高速に処理させるならFPGA & Verilogの方が圧倒的に有利だ。 こっちのデータをあっちに、これの場合はこれ、あの場合はあれ、といった処理はArduinoの方が有利だし、ライブラリーも充実…

Altera DE0事始めその4

VGA出力を使用しようとすると、コンパイル時に以下のエラーが発生する Error (176310): Can't place multiple pins assigned to pin location Pin_K22 (IOPAD_X41_Y19_N14) Info (176311): Pin VGA_B[0] is assigned to pin location Pin_K22 (IOPAD_X41_Y19…

Altera DE0事始めその3

プロジェクトの作成フロー Quartus IIを開く "File" -> "New Project Wizard"でプロジェクト作成ウィザードを開始 "Introduction"は何もせず"Next"を押す "Directory, ..."は" "What is the working directory..."でワーキングディレクトリを指定、筆者はDoc…

Altera DE0事始めその2

Simulationでハマった、Model Simが起動しなかった 原因は不明 やったこと Tools -> Options -> EDA Tool OptionsでModelSim Alteraの再設定 ->うまくいかず ModelSim Alteraのwin32aloemフォルダをCのルートにコピーし、上記のパスを設定 -> ライセンスファ…

Altera DE0事始めその1

メモ Quartus IIはhttp://dl.altera.com/?edition=webからダウンロードする、Combined Fileで入れるのが吉 DE0は初回接続時にドライバ導入が必要、ドライバはQuotusのフォルダの中 (取扱説明書と違う)USB接続したら、赤い電源ボタンを押す、これをしないとボ…