Verilog

ガジェットリスト - こう使ってます、PC編

さてOnemix4のおかげでかなり充実したガジェット生活になり、あまりガジェットメンバに変化が無くなった筆者。 「お前、そんなに持っててちゃんと使ってんのか」の声も高いので、順番に紹介していこうと思う。 Cube PC(Core i7 7700) Shuttle Mini Computer …

One-Netbook OneMix 4 いろいろ設定します

禿しくデジャブな気がするが、気を引き締めて。 ちなみにこの写真は3/11に着弾して、ある程度設定を済ませた状態で撮っている。 すでにnotepad++やHxDのアイコンがデスクトップに、FireFox、VS Codeのアイコンがタスクバーにピンされているのが見える。いつ…

Chuwi Hi10 XR 初期設定いろいろ

うちのFXことChuwi(簡体字で「驰为」) Hi 10 XR。 まず名前がすごいよな、"XR"、この前の機種は"X"。 こんなところでiPhoneの名前パクったってなんにもならないだろう。 しかもChuwiはこういったものを作る会社としては割と中堅、日本なら「VAIO XR」とか「D…

(雑なメモ)githubにModelSim Intel FPGAのRTLシミュレーション用ファイルを含んだQuartus PrimeのFPGAプロジェクトのrepoを作成する

"自己責任"、"「転んでも一人で起きる」"の意味がわからない方は、以下の記載の事柄は適用しないように、お願いいたします。 トライ&エラーで見つけた方法なので免責条項を書いておく。基本はこちらと一緒で、不要なファイル・フォルダは削除し、それらを.g…

(雑なメモ)githubにNios II用のFWを含んだQuartus PrimeのFPGAプロジェクトのrepoを作成する

"自己責任"、"「転んでも一人で起きる」"の意味がわからない方は、以下の記載の事柄は適用しないように、お願いいたします。 トライ&エラーで見つけた方法なので免責条項を書いておく。基本はこちらと一緒で、不要なファイル・フォルダは削除し、それらを.g…

開発ソースの共有

今年のガジェット系の目標を達成すべく、複数のPC間での開発環境の共有を進めている。 お座敷コンピュータには開いてひざの上におけるMacbook Proは必要十分なのだが、外に持ち歩くとなったとたんに重い・デカいだ。 そとでお暇コンピューティングをするとき…

(雑なメモ)githubにQsysを使用したQuartus PrimeのFPGAプロジェクトのrepoを作成する

"自己責任"、"「転んでも一人で起きる」"の意味がわからない方は、以下の記載の事柄は適用しないように、お願いいたします。 トライ&エラーで見つけた方法なので免責条項を書いておく。基本はこちらと一緒で、不要なファイル・フォルダは削除し、それらを.g…

(雑なメモ)githubにQuartus Primeの単純なFPGAプロジェクトのrepoを作成する

"自己責任"、"「転んでも一人で起きる」"の意味がわからない方は、以下の記載の事柄は適用しないように、お願いいたします。 トライ&エラーで見つけた方法なので免責条項を書いておく。githubを使ったことがない方はまずこちらから。 レポジトリを作る "Sta…

(改訂版)DE0-CVでNios II

さらに新しいツールに慣れるため、IP統合の復習としてNios IIを組み込んだ簡単なモジュールを作る。 やっていることは Qsysを使ってNios IIを組み込んだモジュールを作成する - 米国で働く技術系サラリーマンのボヤキ on はてブロ と、 Quartus IIでQsysで作…

QuartusのHigh DPIでの表示不具合の対策

さてDE0-CVも入手し、新しいツール群での動作確認を進める筆者。 過去のQuartusでもそうだったが、最近のHigh DPIのPCを使用すると、QuartusやEclipseなどでアイコンがどうしようもなく小さくなったり、ダイアログの各部品が縮んだりしてしまい、まともに操…

DE0-CVで(大げさな)Lチカ

動作確認、さぁ行ってみようかぁ。 Quartus Primeを起動、"File" -> "New Project Wizard"を選択、プロジェクト名は"LEDBlink"、詳細設定はこちらの中で参照しているこちらを参照、"Family & Device Setting"を"5CEBA4F23C7"にするのを忘れずに。 "File" -> …

DE0-CV導入

遅々としながらも、趣味FPGAプロジェクトは継続している。FPGA ボードで学ぶ組込みシステム開発入門 ?Altera編?作者: 小林優出版社/メーカー: 技術評論社発売日: 2011/09/22メディア: 大型本購入: 3人 クリック: 31回この商品を含むブログ (19件) を見るに沿…

組み込みRAMーその2

さて、あまり弊ブログではあまり人気のない、しかし筆者が今ご執心なVerilogのことも書いておこう。FPGA ボードで学ぶ組込みシステム開発入門 ?Altera編?作者: 小林優出版社/メーカー: 技術評論社発売日: 2011/09/22メディア: 大型本購入: 3人 クリック: 31…

組み込みRAMをQsysでNios IIに接続する

さて、相変わらずこちらの本。FPGA ボードで学ぶ組込みシステム開発入門 ?Altera編?作者: 小林優出版社/メーカー: 技術評論社発売日: 2011/09/22メディア: 大型本購入: 3人 クリック: 31回この商品を含むブログ (19件) を見る今回は前回作成したような組み込…

組み込みRAMーその1

またしてもこの本でVerilogだ。FPGA ボードで学ぶ組込みシステム開発入門 ?Altera編?作者: 小林優出版社/メーカー: 技術評論社発売日: 2011/09/22メディア: 大型本購入: 3人 クリック: 31回この商品を含むブログ (19件) を見る今回は7-2節で出てくる組み込み…

PS/2リーダー

またしてもこの本でVerilogだ。FPGA ボードで学ぶ組込みシステム開発入門 ?Altera編?作者: 小林優出版社/メーカー: 技術評論社発売日: 2011/09/22メディア: 大型本購入: 3人 クリック: 31回この商品を含むブログ (19件) を見る今回は7-1節のPS/2インターフェ…

(改訂増補版) ステートマシン − ムーア型とミーリ型

ステートマシンの実装にはムーア型とミーリ型がある。 ステートマシンの出力が現在のステートにより一義に決まるのがムーア型。 一方、ミーリ型の出力は現在のステートと、そのステートを決める入力で決まる。 具体的には、ミーリ型であればそのステートを決…

Avalon Busの動作確認

またしてもこの本でVerilogだ。FPGA ボードで学ぶ組込みシステム開発入門 ?Altera編?作者: 小林優出版社/メーカー: 技術評論社発売日: 2011/09/22メディア: 大型本購入: 3人 クリック: 31回この商品を含むブログ (19件) を見るこの本の第6章で、Avalon Busの…

今回の備忘録

"Nios II Software Build Tools for Eclipse"で、なぜかworkspaceが以前のプロジェクトになる。プログラムの挙動もおかしい。変だと思ったら"Nios II Application and BSP from Template"をやるときに、前のプロジェクトのsopcinfoファイルを使っていた。デ…

Qsysを使って自作モジュールをNios IIに接続する

またしてもこの本でVerilogだ。FPGA ボードで学ぶ組込みシステム開発入門 ?Altera編?作者: 小林優出版社/メーカー: 技術評論社発売日: 2011/09/22メディア: 大型本購入: 3人 クリック: 31回この商品を含むブログ (19件) を見るこの本の第6章で自作モジュール…

Nios II Software Build Tools for Eclipseのtips

またしてもこちらの本。FPGA ボードで学ぶ組込みシステム開発入門 ?Altera編?作者: 小林優出版社/メーカー: 技術評論社発売日: 2011/09/22メディア: 大型本購入: 3人 クリック: 31回この商品を含むブログ (19件) を見るこの手の本は、「ここが分からない」が…

Quartus IIでQsysで作成したモジュールを組み込む

再びこちら。FPGA ボードで学ぶ組込みシステム開発入門 ?Altera編?作者: 小林優出版社/メーカー: 技術評論社発売日: 2011/09/22メディア: 大型本購入: 3人 クリック: 31回この商品を含むブログ (19件) を見る本書では、SOPC Builderで各種IPを組み込んだモジ…

Qsysを使ってNios IIを組み込んだモジュールを作成する

またしても忘却曲線の彼方になりつつなるVerilogの勉強を再開した。 今回はこちらの本を片手に格闘中だ。FPGA ボードで学ぶ組込みシステム開発入門 ?Altera編?作者: 小林優出版社/メーカー: 技術評論社発売日: 2011/09/22メディア: 大型本購入: 3人 クリック…

忘却曲線の彼方

再び時間を空けてしまったため、いやぁツールの使い方を忘れる忘れる。 ということで、 ModelSim-AlteraでRTLシミュレーションをするまでのステップ(テストベンチの自動生成、ツールへの設定など)はここ ModelSim-AlteraをQuartus IIから起動するための設定…

Altera DE0でLチカ−ナイトライダー編

右に左にスクロールします。 module LEDScroll( input in_clk, input [9:0] in_switch, input [2:0] in_button, output [9:0] out_led, output[7:0] seven_segment_0, output[7:0] seven_segment_1, output[7:0] seven_segment_2, output[7:0] seven_segment…

多段の分周期のパルス幅

今回各モジュールの中に多用されているのは、非同期リセット付イネーブル付カウンタと呼ばれるものだ。 これを使ってシステムクロックの50MHzとこれを分周した1kHzを元に、1Hzや200Hzを作る分周器を作っている。 module clk_scaler_with_enable(input in_clk…

Altera DE0でLチカその3

最後はボタンを押している間だけLチカだ。 ボタンをチャタリング防止に通したものと1Hzのフリップフロップの出力を非同期でANDを取ったものと、一度ラッチをかませてボード上の50MHzのクロックと同期させたものの両方を出力している。 module LEDBlink( inpu…

Altera DE0でLチカその2

今度はArduinoのようなLチカ、つまりタイマーで周期的にチカチカさせるほうだ。 1kHzのクロックから1Hzのクロックを作り、それをフリップフロップでさらに半分に分周している。 module LEDBlink( input in_clk, input [9:0] in_switch, input [2:0] in_butto…

Altera DE0で(大げさな)Lチカその1

さて、HW界のHello World、LチカをFPGA&Verilogでやってみる。 今回は単純に「ボタンを押したら、LEDがつく」だ。 これは、本当に単純に、ボタンの入力を受けるピンとLEDに出力するピンをつなぐだけでよい。 module LEDBlink( input in_clk, input [9:0] in_…

Altera DE0事始めその6

プロジェクトに既存のVerilogファイルを取り込む際には、"File" -> "Open"で既存のVerilogファイルを開いた後に、"Project" -> "Add Current File to Project"でOK。 これが一番簡単。